Why uvm_hdl_force has effect only in interactive mode in Verdi?

191 views Asked by At

I am using uvm_hdl_force for various signals from my test (that extends from uvm_test). But the effect of force is only visible in INTERACTIVE mode for Verdi (VCS). How to get this work without interactive mode? e.g.

class base_test extends uvm_test;
  ...
  virtual task run_phase(uvm_phase phase);
    if(! uvm_hdl_force("tb.a.b.cfg", 4'h9))
      `uvm_error("TEST", "Force on tb.a.b.cfg failed")
  endtask
endclass
1

There are 1 answers

1
Parth Pandya On

I think "-debug_all" required in VCS to use "uvm_hdl_force". you can try adding that for non-interactive run.