While setting up the Chisel in my linux environment and running a small and_gate test .

I am getting error like this:

[error] Exception in thread "main" chisel3.package$ChiselException: Unable to locate the elaborated circuit, did chisel3.stage.phases.Elaborate run correctly
[error]     at chisel3.stage.phases.AddSerializationAnnotations.$anonfun$transform$1(AddSerializationAnnotations.scala:25)
[error]     at scala.Option.getOrElse(Option.scala:201)
[error]     at chisel3.stage.phases.AddSerializationAnnotations.transform(AddSerializationAnnotations.scala:25)
[error]     at chisel3.stage.phases.AddSerializationAnnotations.transform(AddSerializationAnnotations.scala:15)
[error]     at firrtl.options.DependencyManager.$anonfun$transform$5(DependencyManager.scala:280)
[error]     at firrtl.Utils$.time(Utils.scala:53)
[error]     at firrtl.options.DependencyManager.$anonfun$transform$3(DependencyManager.scala:280)
[error]     at scala.collection.LinearSeqOps.foldLeft(LinearSeq.scala:183)
[error]     at scala.collection.LinearSeqOps.foldLeft$(LinearSeq.scala:179)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:79)
[error]     at firrtl.options.DependencyManager.transform(DependencyManager.scala:269)
[error]     at firrtl.options.DependencyManager.transform$(DependencyManager.scala:255)
[error]     at firrtl.options.PhaseManager.transform(DependencyManager.scala:443)
[error]     at circt.stage.ChiselStage.run(ChiselStage.scala:67)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:42)
[error]     at firrtl.options.Stage$$anon$1.transform(Stage.scala:42)
[error]     at firrtl.options.Stage.$anonfun$transform$3(Stage.scala:45)
[error]     at scala.collection.LinearSeqOps.foldLeft(LinearSeq.scala:183)
[error]     at scala.collection.LinearSeqOps.foldLeft$(LinearSeq.scala:179)
[error]     at scala.collection.immutable.List.foldLeft(List.scala:79)
[error]     at firrtl.options.Stage.$anonfun$transform$2(Stage.scala:45)
[error]     at logger.Logger$.$anonfun$makeScope$2(Logger.scala:137)
[error]     at scala.util.DynamicVariable.withValue(DynamicVariable.scala:59)
[error]     at logger.Logger$.makeScope(Logger.scala:135)
[error]     at firrtl.options.Stage.transform(Stage.scala:45)
[error]     at firrtl.options.Stage.execute(Stage.scala:56)
[error]     at firrtl.options.StageMain.main(Stage.scala:69)
[error]     at circt.stage.ChiselMain.main(ChiselStage.scala)
[error] Nonzero exit code returned from runner: 1
[error] (Compile / run) Nonzero exit code returned from runner: 1
[error] Total time: 4 s, completed 06-Mar-2023, 3:02:31 pm

It is saying like firrtl tool was not there and the design was not elaborated. Can anyone who had setup the chisel environment in their local machine. Can you help me with this..?

It tried by cloning the firrtl from github, but not worked.

0

There are 0 answers