What' s the difference between <= and := in VHDL

46.9k views Asked by At

Currently, I am learning some FPGA design techniques using VHDL, my problem is whether we can use := and <= interchangeably in VHDL or not, though I've seen the use of := in constants declarations and <= in assignments? Thanks in advance!

3

There are 3 answers

3
wjl On BEST ANSWER

The rules are a little more complex than this, but basically: you use <= to do signal assignment, which takes effect on the next delta cycle. You use := to do variable assignment, which takes place immediately. So if you have a signal, you always use <=. If you have a variable, you always use :=.

Some places where this is not quite that case that you will commonly run into, for instance, initialization, where := is used even for signals.

So:

signal some_signal : std_logic := '0'; -- 0 initial value
...
variable some_variable : std_logic := '0'; -- 0 initial value
...
some_signal <= '1'; -- will assign 1 at the next time step (delta cycle)
...
some_variable := '1'; -- assigns 1 immediately
0
zackygaurav On

if you use signal temp:std_logic_vector then you'll have to use <=

if you use variable temp:std_logic_vector then you'll have to use :=

0
Mostafa Wael On

<=

UseCase: Signal assignments that take place in the next cycle.

Example: signal temp:std_logic_vector

:=

UseCase: Variable assignments that take place immediately.

Example: variable temp:std_logic_vector


Except for adding initial values to signals, you can also use :=.