Questasim tool showing error after updating code and re running for schematic

119 views Asked by At

Problem related to Model Sim Questa tool. (System verilog)

I have run the code in Questa Sim, then check the schematic of that verilog code. But again I changed something in that code and try to run the schematic, i got an error [No Design Loaded] Also one more error "error could not open the database because no design is currently loaded"

Please help me to solve this issue. I'm using Questa Sim-64 10.6center image description here

1

There are 1 answers

1
AlaBek On

From the attached picture it seems that you have some files that are not compiled (? next to the name), you should compile the design and reload it first.