I have two modules written in SystemC, the first is called "worker" the other "boss". In sc_main, I dynamically generate a random number of workers. I want each to notify the boss module that it has started/finished working. At the same time I want the boss module to send each a notification to do something.

What is the best approach to do this multiple setup? Clearly, sharing global sc_event variables is not the optimal approach though it works for now.

Do I have to setup an external interrupt for each worker/boss?

Is there a way where I can let all workers (regardless of their randomly generated number) to communicate with the boss without missing events and using a solid but simple setup. How would the boss individually send events to selected worker.

I read there is a Master-Slave library. Does this do the trick and where can I find it?

Thanks

0

There are 0 answers